Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем Плотников Павел Владимирович

Диссертация, - 480 руб., доставка 1-3 часа, с 10-19 (Московское время), кроме воскресенья

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Плотников Павел Владимирович. Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем : диссертация ... кандидата технических наук : 05.13.12 / Плотников Павел Владимирович; [Место защиты: Владимир. гос. ун-т].- Владимир, 2008.- 172 с.: ил. РГБ ОД, 61 09-5/726

Введение к работе

Актуальность. Цифровая обработка сигналов (ЦОС) - это одна из наиболее быстро развивающихся отраслей современной электроники, которая применяется в любой области, где информация содержится в цифровом виде или контролируется цифровым процессором. В настоящее время ЦОС используется во многих областях, где раньше применялись аналоговые методы.

Одной из операций ЦОС, имеющих первостепенное значение, является цифровая фильтрация. Основными целями фильтрации являются устранение помех, извлечение из сигналов информации или разделение нескольких сигналов, объединенных ранее для эффективного использования канала связи.

Разработка систем цифровой фильтрации возможна несколькими способами. Первым способом является программная реализация, при которой алгоритм обработки сигнала описывается на языке высокого уровня и исполняется на специализированном сигнальном процессоре. Во втором случае цифровые фильтры проектируются аппаратно, т.е. в виде набора определенным образом соединенных между собой блоков, таких как сумматоры, умножители, элементы задержки и т.д. Производительность аппаратных систем цифровой фильтрации, по сравнению с программными, выше в десятки и сотни раз. Это позволяет создавать многоканальные системы с частотами дискретизации в десятки и сотни мегагерц, работающие в реальном времени.

При создании аппаратных систем цифровой фильтрации широкое распространение получили базисы ПЛИС и заказных микросхем. При разработке цифровых устройств принят подход, при котором отладка проекта выполняется в ПЛИС, а верифицированное решение переносится в базис заказных микросхем (ASIC). Этот компромиссный вариант позволяет уменьшить сроки проектирования и получить высокие характеристики изделий.

При проектировании систем цифровой фильтрации проектировщик должен учитывать множество противоречивых требований. Наиболее важными требованиями являются качество фильтрации сигнала и вычислительные затраты при заданной производительности. Системы с хорошим значением подавления в полосе заграждения и с малой неравномерностью, обычно, требуют для реализации большой площади кристалла и имеют значительное энергопотребление. Сокращение потребляемой мощности и площади ведет к ухудшению характеристик фильтра. Поэтому задача проектирования аппаратных систем цифровой фильтрации обычно формулируется следующим образом: получить систему определенной производительности с заданными частотными характеристиками при наименьшем используемом логическом ресурсе и с минимальным энергопотреблением.

Разработка современных цифровых устройств невозможна без применения систем автоматизированного проектирования (САПР). Анализ современных САПР показал, что применительно к задаче проектирования систем цифровой фильтрации, решаются только отдельные задачи проектирования. Например, в САПР системного уровня не развиты средства проектирования многоскоростных

и многоканальных систем. Существующие САПР цифровых устройств, как правило, создаются для определенного класса микросхем, например ПЛИС одного производителя.

Наиболее трудоемким этапом разработки систем цифровой фильтрации является получение описания системы на уровне регистровых передач (RTL). Применение универсальных средств генерации кода приводит к ухудшению таких характеристик, как производительность и площадь кристалла, в несколько раз, относительно потенциально возможного. Для получения качественной реализации системы цифровой фильтрации, проектировщик вынужден выполнять множество этапов вручную, что неоправданно увеличивает время проектирования. Кроме того, при смене аппаратного базиса, например при переходе с ПЛИС на заказную технологию, требуется большую часть работы выполнять заново.

На основании изложенного можно сделать вывод, что в настоящее время является актуальным решение задачи автоматизации проектирования систем цифровой фильтрации. При этом наиболее востребованным является создание сквозного маршрута проектирования, позволяющего на основе требований системного уровня получать эффективное синтезируемое описание системы на уровне регистровых передач.

Целью работы является развитие методов автоматизированного проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем и разработка на их основе пакета прикладных программ.

Для достижения указанной цели в работе ставятся и решаются следующие основные задачи.

  1. Анализ типов систем цифровой фильтрации, аппаратных архитектур цифровых фильтров и входящих в их состав базовых блоков.

  2. Исследование особенностей архитектур современных ПЛИС и стандартных ячеек заказных микросхем, используемых при проектировании цифровых фильтров.

  3. Исследование возможностей современных САПР системного уровня и САПР цифровых устройств по проектированию систем цифровой фильтрации.

  4. Разработка обобщенной модели системы цифровой фильтрации на нескольких уровнях проектирования.

  5. Разработка методики автоматизированного проектирования систем цифровой фильтрации.

  6. Разработка лингвистического, информационного и программного обеспечения подсистемы САПР систем цифровой фильтрации.

Методы исследования. Для решения поставленных задач в работе использовались методы: теории САПР, теории графов, теории множеств, теории цифровой обработки сигналов.

Научная новизна работы. Новые научные результаты, полученные в работе, состоят в следующем:

  1. Разработана обобщенная модель системы цифровой фильтрации, состоящая из набора связанных между собой альтернативных И-ИЛИ графов. Предложен способ ее представления в разрабатываемой САПР.

  2. Предложен метод оптимизации коэффициентов цифровых фильтров па основе алгоритмов глобального поиска, позволяющий значительно уменьшить требуемый логический ресурс.

  3. Предложена методика автоматизированного проектирования систем цифровой фильтрации, направленная на формирование описания фильтра на уровне регистровых передач по требованиям на системном уровне.

Практическая ценность. На основе предложенных методов автоматизированного проектирования систем цифровой фильтрации была разработана специализированная подсистема САПР, позволяющая повысить качество проектируемых цифровых фильтров. В данной САПР в автоматизированном режиме решаются такие задачи, как расчет стадий преобразования частоты дискретизации в многоскоростных системах, синтез структурной схемы системы, параметрический синтез субфильтров, генерация описания системы на языке описания аппаратуры (HDL) и тестовой обвязки. Параметры целевого аппаратного базиса задаются в виде XML файлов, что позволяет пользователю расширять возможности подсистемы САПР, добавляя поддержку появляющихся семейств микросхем. Библиотека базовых блоков выполнена в виде набора динамически подключаемых библиотек (DLL) с открытым интерфейсом, что упрощает добавление новых блоков и архитектур цифровых фильтров.

Реализация и внедрение результатов работы. Работа по теме диссертации проводилась на кафедре ВТ ВлГУ в лаборатории цифровой обработки сигналов и потоков в рамках х/д НИОКР №3403/06 (шифр «Элерон-1»), №3359/06 (шифр «Эстамп-2»), ПП 47/07, ПП 28/07. Полученные результаты исследований в виде алгоритмов, методик и программного обеспечения внедрены в виде материалов отчетов и макетов по НИР и ОКР, выполненных в рамках государственного оборонного заказа, и в учебный процесс кафедры ВТ ВлГУ.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на следующих семинарах и конференциях:

VII международная научно-техническая конференция «Перспективные технологии в средствах передачи информации» (Владимир, 2003 -2007 г.);

Международная научно-техническая конференция «Новые методологии проектирования изделий микроэлектроники» «New design methodologies» (Владимир, 2002-2003 г.);

4th Electronic Circuits and Systems Conference «Microelectronic and Microsystems Design» (Bratislava, 2003);

International Scientific Conference «Informatics, Mathematical Modelling and Design in the Technics, Controlling and Education» (Vladimir, 2004); Proceedings oflEEE East-West Design & Test Workshop (Sochi, 2006).

Проблемы разработки перспективных микроэлектронных систем (Москва, 2006).

Международная научно-техническая конференция «Физика и радиоэлектроника в медицине и экологии» (Владимир-Суздаль, 2006). 1-ая международная конференция «Глобальные информационные системы. Проблемы и тенденции развития.» (Харьков, 2006). НТК профессорско-преподавательского состава ВлГУ (2005 - 2008 г.). На защиту выносятся:

  1. Обобщенная модель системы цифровой фильтрации в виде альтернативных И-ИЛИ графов, представленная на трех уровнях проектирования: системном, функциональном и компонентном.

  2. Методика автоматизированного проектирования систем цифровой фильтрации, направленная на формирование описания системы на уровне регистровых передач по требованиям на системном уровне.

  1. Математическое, информационное и программное обеспечение подсистемы САПР, позволяющей в автоматизированном режиме выполнять большинство этапов проектирования систем цифровой фильтрации.

  2. Результаты применения подсистемы САПР для разработки системы цифровой фильтрации стандарта DECT в базисах ПЛИС и заказных микросхем.

Публикации по работе. По теме диссертации опубликовано 16 печатных работ, из них 1 статья в журнале, рекомендованном ВАК, 1 статья во Всероссийском научно-техническом журнале, 2 статьи в сборниках научных трудов и 11 тезисов докладов на Международных и Российских научно-технических конференциях.

Структура работы. Диссертация состоит из введения, трех глав, заключения, списка литературы и приложений. Общий объем диссертации 170 страниц, в том числе 154 страницы основного текста, 10 страниц списка литературы (117 наименований), 6 страниц - приложения. Диссертация содержит 52 рисунка и 11 таблиц.

Похожие диссертации на Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем