Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Рыжова Дарья Игоревна

Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем
<
Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем
>

Диссертация - 480 руб., доставка 10 минут, круглосуточно, без выходных и праздников

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Рыжова Дарья Игоревна. Исследование и разработка методов анализа пикового тока на логическом уровне проектирования КМОП схем: диссертация ... кандидата технических наук: 05.13.12 / Рыжова Дарья Игоревна;[Место защиты: Московский государственный институт электронной техники (технический университет)].- Москва, 2016.- 140 с.

Содержание к диссертации

Введение

Глава 1. Анализ существующих методов и алгоритмов оценки пикового тока в комбинационных КМОП схемах 14

1.1. Проблемы электромиграции и падения напряжения в шине питания (IR-drop) 14

1.2. Существующие модели задержек, токов и мощности на вентильном уровне 16

1.3. Средства моделирования тестовых последовательностей 21

1.4. Средства генерации тестовых последовательностей 22

1.5. Методы верхних оценок пикового тока 25

1.6. Методы интервального моделирования задержек 28

1.7. Выводы 33

Глава 2. Разработка моделей и методов анализа и характеризации пикового тока библиотечных элементов 35

2.1. Разработка модели библиотечного элемента для анализа максимального тока потребления в схеме 35

2.2. Исследование модели библиотечного элемента для анализа максимального тока потребления в схеме при одновременном переключении входов 40

2.3. Разработка метода контрольных точек для моделирования библиотечных элементов 45

2.4. Метод золотого сечения для нахождения максимального значения тока 48

2.5. Алгоритм характеризации тока в контрольных точках на основе дихотомического деления по параметру смещения входных фронтов 51

2.6. Выводы 53

Глава 3. Разработка методов и алгоритмов, обеспечивающих анализ пикового тока на логическом уровне проектирования КМОП схем 56

3.1. Разработка алгоритма трилинейной интерполяции токов в контрольных точках 56

3.2. Анализ последовательно-параллельных структур для оценки пикового тока 61

3.3. Разработка метода суммирования кривых для оценки пикового тока в сложных КМОП схемах 66

3.4. Проблема возникновения ложных переключений в схеме (glitches) 69

3.5. Разработка алгоритма анализа пикового тока комбинационных блоков с учетом логических корреляций сигналов на основе метода резолюций 71

3.6. Разработка алгоритма поиска максимального взвешенного независимого набора вершин для оценки пикового тока в схеме 78

3.7. Адаптация алгоритма синтеза комбинационных КМОП схем на основе разложения Гильберта для минимизации пикового тока в цепях питания 81

3.8. Выводы 97

Глава 4. Программная реализация разработанных методов и алгоритмов для анализа пикового тока цифровых комбинационных схем на логическом уровне проектирования 102

4.1. Маршрут логического моделирования комбинационных КМОП схем с учетом одновременного переключения входов 102

4.2. Программная реализация разработанных алгоритмов для анализа и минимизации пикового тока комбинационных СФ-блоков 109

4.3. Выводы 125

Заключение 126

Список литературы 129

Введение к работе

Актуальность работы

Работа посвящена решению актуальных проблем автоматизации проектирования цифровых микро- и наноэлектронных схем на логическом уровне проектирования.

Известно, что с внедрением каждой новой технологии существенно уменьшаются размеры элементов СБИС и напряжение питания, а степень интеграции возрастает. Это приводит к возникновению новых эффектов, таких как перекрестные помехи, падение напряжения в шинах питания/земли (IR-drop), шумы в подложке и другие [Selcuk Kose, Eby G.Friedman. Efficient algorithms for fast IR drop analysis exploiting locality // Integration, the VLSI journal, 2012, No. 45. Pp. 149-161]. Одним из существенных факторов, влияющих на процесс проектирования комбинационных КМОП схем, становится пиковый ток. Значение максимального тока потребления КМОП схем используется для оценки величины падения напряжения в шинах питания (IR-drop) и расчета ширины шин питания КМОП схем. Также значение пикового тока используется для расчетов ширины ключевых транзисторов в методе снижения статической мощности за счет отключения схемы от шин питания (power gating).

Существуют методы оценки пикового тока на схемотехническом уровне, однако они не обеспечивают полноту анализа. В то же время известны методы и алгоритмы на логическом уровне проектирования КМОП схем, которые не обеспечивают требуемую точность оценки пикового тока. Возникает необходимость в разработке методов анализа пикового тока цифровых схем на логическом уровне проектирования КМОП СБИС.

Степень разработанности темы исследования.

В последние годы стали развиваться подходы к оценке пикового тока для решения задачи обеспечения работоспособности КМОП схем, в том числе для определения величины падения напряжения в шинах питания (IR-drop эффект) и ширины шин питания.

Существующие подходы к оценке пикового тока условно можно разделить на следующие группы:

  1. Усредненная оценка пикового тока, полученная на основе результатов характеризации мощности. Промышленные программы, такие как Synopsys PrimeRail и Cadence Encounter, используют информацию из Liberty файла библиотеки для оценки тока потребления в схеме. В результате получается грубая оценка пикового тока, рассчитанная из средней мощности КМОП схемы.

  2. Оптимистическая оценка пикового тока. Программы моделирования тестовых последовательностей, например, Synopsys CustomSim и Cadence UltraSim, определяют нижнюю границу значения пикового тока. Использование результатов таких программ может привести к недооценке величины падения напряжения в шинах питания и, соответственно, спровоцировать выход схемы из строя.

  3. Пессимистическая оценка пикового тока (поиск наихудшего случая). Методы оценки наихудшего случая по пиковому току основаны на сложении

токовых кривых от всех вентилей комбинационной схемы. На сегодняшний день из публикаций известны методы верхних оценок максимального тока потребления, которые снижают уровень пессимизма [Kunal Ganeshpure, Alodeep Sanyal. A Pattern Generation Technique for Maximizing Switching Supply Currents // Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 2012. Pp. 986-998]. При этом в большинстве подходов оценка пикового тока остается излишне завышенной из-за высокой сложности задачи определения такого подмножества вентилей, которые могут переключаться одновременно.

Таким образом, существуют достаточно точные методы моделирования тестовых последовательностей, которые не обеспечивают полноту оценки пикового тока КМОП схем. С другой стороны, существующие методы верхних оценок не обеспечивают требуемую точность решения задачи нахождения пикового тока. Диссертационная работа направлена на решение задачи повышения степени точности и достоверности верхних оценок пикового тока комбинационных схем на основе анализа логических корреляций сигналов в схеме.

Цель работы и задачи исследования

Целью диссертационной работы является исследование и разработка методов оценки пикового тока комбинационных КМОП схем на уровне логического проектирования, программная реализация и адаптация этих методов в маршруте проектирования интегральных схем.

Для достижения поставленной цели в работе решаются следующие задачи:

  1. Исследование и разработка модели библиотечного элемента, обеспечивающей адекватный анализ пикового тока с учетом корреляций сигналов на входах вентиля.

  2. Разработка метода встроенной характеризации пикового тока КМОП схем на основе дихотомического деления по параметру смещения входных фронтов.

  3. Разработка алгоритмов анализа пикового тока комбинационных блоков с учетом логических корреляций сигналов.

  4. Интеграция разработанных методов и алгоритмов в маршрут проектирования комбинационных КМОП схем.

Методика проведения исследования. Для достижения поставленных задач в диссертационной работе использованы: аппарат теории графов, методы булевой алгебры, аппарат теории множеств, теория языков программирования.

Научная новизна результатов, представленных в диссертационной работе, заключается в следующем:

1. Разработана модель библиотечного элемента для анализа тока потребления, которая, в отличие от существующих моделей на логическом уровне проектирования, обеспечивает адекватную оценку максимального тока с учетом корреляций сигналов на входах вентиля. Отличительной особенностью предлагаемой модели является учет информации о близких и одновременных переключениях входов вентилей, что позволяет повысить точность оценки

пикового тока в КМОП схемах на логическом уровне проектирования по сравнению с известными подходами.

  1. Предложен метод встроенной характеризации токов потребления вентилей в контрольных точках на основе дихотомического деления по параметру смещения входных фронтов, обеспечивающий эффективный расчет пикового тока на этапе логического проектирования. Данный подход обеспечивает более точную оценку пикового тока по сравнению со стандартными методами характеризации за счет использования разработанной модели с учетом параметра смещения входных фронтов.

  2. Предложен алгоритм анализа пикового тока с учетом логических корреляций сигналов на основе метода резолюций, обеспечивающий более точную оценку пикового тока в схеме по сравнению с существующими подходами за счет решения задачи нахождения максимального подмножества вентилей, которые могут переключаться одновременно, на основе анализа гиперграфа логических ограничений.

Основные результаты и положения, выносимые на защиту

  1. Модель библиотечного элемента для анализа максимального тока потребления в схеме с учетом смещения между фронтами входных сигналов вентиля.

  2. Метод встроенной характеризации токов потребления вентилей в контрольных точках на основе дихотомического деления по параметру смещения входных фронтов для оценки пикового тока на этапе логического проектирования КМОП схем.

  3. Алгоритм анализа пикового тока с учетом логических корреляций сигналов на основе метода резолюций и трилинейной интерполяции.

Практическая значимость работы заключается в повышении точности оценок максимального тока в шинах питания КМОП схем на этапе логико-временного анализа. Разработанные методы и алгоритмы могут быть использованы как дополнение к уже существующим средствам САПР СБИС для анализа пиковых токов, характеризации СФ-блоков, а также в целях повышения точности анализа мощности и тока потребления комбинационных КМОП схем.

Реализация и внедрение результатов работы. Разработанные средства внедрены в АО «ЗНТЦ», ИППМ РАН, ОИПИ НАН Беларуси, а также включены в учебный процесс НИУ «МИЭТ».

Апробация работы.

Результаты диссертационной работы докладывались и обсуждались на следующих конференциях:

20-я Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и Информатика-2013», МИЭТ, апрель 2013, Россия, Москва, Зеленоград;

Moscow-Bavarian Joint Advanced Student School (MB-JASS), октябрь 2013, Армения, Ереван;

Международный конгресс по интеллектуальным системам и информационным технологиям - 2014, "IS&IT'14", 2014, Россия, п. Дивноморское;

21-я Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и Информатика-2014», МИЭТ, апрель 2014, Россия, Москва, Зеленоград;

VI Всероссийская научно-техническая конференция "Проблемы разработки перспективных микро- и наноэлектронных систем - 2014", 2014, Россия, Москва, Зеленоград;

Международный конгресс по интеллектуальным системам и информационным технологиям - 2015, "IS&IT'15", 2015, Россия, п. Дивноморское;

VII Всероссийская научно-техническая конференция "Проблемы разработки перспективных микро- и наноэлектронных систем - 2016", 2016, Россия, Москва, Зеленоград.

Публикации.

Основные результаты диссертационной работы опубликованы в виде 13-ти научных трудов, среди которых 10 статей напечатаны в ведущих рецензируемых научных журналах и сборниках, рекомендованных Высшей аттестационной комиссией Министерства образования и науки Российской Федерации для публикации основных научных результатов диссертаций на соискание ученой степени кандидата наук.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, заключения, списка использованных источников (106 наименований), а также списка использованных сокращений. Диссертация содержит 140 страниц, включая 7 таблиц и 47 рисунков.

Средства моделирования тестовых последовательностей

В настоящее время актуальной является проблема тестирования проектов цифровых схем на языках описания аппаратуры с использованием современных САПР. Для того чтобы обеспечить процесс тестирования цифровых КМОП схем, нужно получить набор тестов, которые обеспечат качественную проверку функционирования разрабатываемого устройства. Для решения этой задачи широко используются средства САПР для автоматической генерации тестов (Automatic Test Pattern Generation, ATPG).

Стандартный маршрут проектирования КМОП СБИС предполагает тестирование и выявление технологических дефектов на этапе логического синтеза тестопригодных проектов (Design for Test, DFT). После синтеза тестовых (DFT) структур проводится функциональная верификация проекта. Выходные файлы программы функциональной верификации содержат функциональные векторы, то есть последовательности сигналов с входов и выходов кристалла, зафиксированные в определенный временной интервал. Далее программами генерации тестовых последовательностей (ATPG) создаются тестовые векторы для определения возможных дефектов кристалла на тестовом оборудовании. На следующих этапах проектирования проводится физический синтез (планировка и размещение элементов на кристалле, трассировка) с верификацией.

До недавнего времени для проверки качества кристалла применяли только функциональные векторы. На данный момент существуют перспективные средства САПР, которые позволяют быстро и качественно генерировать тестовые векторы для обнаружения различных производственных дефектов на тестовом оборудовании. Одними из основных производителей САПР, предоставляющих программы генерации тестовых последовательностей, являются Cadence и Synopsys. Основа аппаратного проектирования в системе Cadence – платформа Incisive. Она представляет собой единую среду программно-аппаратного проектирования, отладки, верификации и генерации тестов для цифро-аналоговых СБИС. Средства UltraSim [19] и Encounter [20] являются современными программами моделирования тестовых последовательностей. В компании Synopsys к средствам синтеза тестопригодных проектов можно отнести программы Design Compiler и DFT Compiler, к программам автоматической генерации тестов – TetraMAX ATPG, к программам схемотехнического моделирования – Nanosim [21], CustomSim [2].

Существующие методы моделирования тестовых последовательностей обеспечивают достаточно точную оценку пикового тока КМОП схем, однако не обеспечивают полноту тестового покрытия схем с большим числом входов.

Одним из подходов к оценке пикового тока являются методы генерации тестовых последовательностей с помощью генетических и эволюционных алгоритмов. Обширные исследования по оценке тока в схемах для детерминированных моделей входов были проведены в работах [22-24].

В работе [23] был предложен подход к оценке пикового тока на основе генетического алгоритма. Поиск решения ведется на основе механики естественного отбора и законов генетики (отбор, кроссовер и мутации). В генетическом алгоритме элементы в пространстве решений кодируются в строки с конечной длиной (рис. 1.3). Рисунок 1.3. Кодирование строк в генетическом алгоритме

Каждая строка имеет значение «приспособленности». Начальная популяция содержит N случайных строк длины L. Целью алгоритма является создание строки с высоким значением «приспособленности». Значение «приспособленности» каждой строки вычисляется с помощью функции «приспособленности». Для создания новых последовательностей находится пересечение двух выбранных строк, и применяют алгоритм мутации элементов каждой строки с заданной вероятностью мутации. Этот процесс повторяется, пока число строк в новой популяции не станет равным N. Алгоритм выбирает строки с более высокими значениями «приспособленности», поэтому среднее значение «приспособленности» имеет тенденцию к увеличению. Следующая последовательность формируется на основе текущих с помощью той же процедуры. Процесс продолжается до тех пор, пока число строк не достигнет заданного значения или оптимальное решение не будет найдено.

Схожий алгоритм был описан в других работах [24] – эволюционный алгоритм оптимизации методом муравьиных колоний. Когда муравей перемещается между муравейником и источником еды, он помечает маршрут феромоном. В зависимости от расстояния еды до гнезда и её качества муравьи помечают маршрут определенным количеством феромона. Чем сильнее концентрация феромона на маршруте, тем выше вероятность, что муравьи пойдут по нему. Этот способ поиска еды был заложен в основу алгоритма оптимизации методом муравьиных колоний и применяется для решения множества комбинаторных задач по оптимизации. Данный алгоритм содержит следующие этапы:

Разработка метода контрольных точек для моделирования библиотечных элементов

Однако CCS и ECSM модели не содержат данные для построения зависимости тока в цепи питания от времени. В связи с этим появилась необходимость в создании усовершенствованной модели сигнала, позволяющей сохранять значения тока в контрольных точках, выбираемых по уровням напряжения входных и выходных сигналов.

Для того чтобы сформировать модель логического элемента, необходимо выполнить многократное моделирование его электрической схемы (характеризацию). Для оценки точности модели было проведено сравнение результатов характеризации логического элемента с результатом схемотехнического моделирования элемента в схеме. Иллюстрация метода оценки точности модели приведена на рисунке 2.5.

В рамках диссертационной работы была проведена серия численных экспериментов по выбору контрольных точек с последующей интерполяцией и сравнением результатов интерполяции с точным схемотехническим моделированием. На этапе характеризации вентилей сохраняются значения выходных напряжений и токов питания в предположении нулевой задержки на входах схемы. Реальные значения напряжений и токов конкретного вентиля рассчитываются с учетом сдвига во времени на величину задержки на его входах (рис. 2.6). Поведение тока в значительной степени зависит от входного фронта (рис. 2.7, S1 S2 S3 S4 S5) Рисунок 2.6. Сдвиг кривой тока

Исследование модели библиотечного элемента для анализа максимального тока потребления в схеме при одновременном переключении входов

Значение на входе элемента, определяющее значение на выходе независимо от значений других входов, называется контролирующим.

Рассмотрим двухвходовой элемент И-НЕ с входами i1, i2 и выходом o (рис. 2.8), для него контролирующим значением является 0. Контролирующим значением cv многовходового элемента является значение, при котором вход элемента полностью определяет значение на его выходе. В двухзначной логической системе неконтролирующее значение элемента cv является дополнением контролирующего значения. Контролирующее переключение входа элемента обозначается как последовательность значений cv, cv Если контролирующее переключение происходит на одном или нескольких входах элемента, а на остальных его входах установлено неконтролирующее значение, то переключение выхода элемента называется контролирующим откликом. Неконтролирующее переключение и отклик определяются аналогично. Чаще всего временем переключения (или фронтом) S считается время, необходимое для изменения напряжения на выходе от 0.2 -Vdd до 0.8- Vdd, и от 0.8-Vdd до 0.2-Vdd. Возможны и другие уровни измерения задержек и фронтов, например, от 0.1-М/ до 0.9-Vdd, и от 0.9-Vdd до 0.1-Vdd. Время прибытия A переключения на входе Я - это момент, когда напряжение на выходе достигает 0.5-Vdd. Сдвиг z(i\,i2) между переключениями на линии й и /2 равен Д-2 - Ді. Функция задержки элемента D определяется как Л-тт(4-Д,).

Чтобы показать изменение максимального тока, вызванное одновременным переключением, на график выводятся контролируемые токи элемента в зависимости от z(il,i2) для некоторого фиксированного Sa и Si2 , где z(zl,z2) = 42-41 (рис. 2.9). Данное изменение имеет место лишь при малом zv M2J. Когда zvU2J велико, токи от разных входов полностью разделяются и перестают влиять друг на друга, в этом случае в качестве пикового значения тока принимается максимальное из выведенных значений токов. Значение пикового тока достигает максимума при малых значениях смещения (и не всегда при z(/l,/2) = 0). Рисунок 2.9. Зависимость тока / от фронта первого входного сигнала S1 и смещения z при фиксированном фронте второго входного сигнала

В диссертационной работе исследуется поведение пикового тока для различных типов вентилей. Для двухвходового вентиля И-НЕ (рис. 2.10) проведена оценка максимального тока потребления при различных значениях смещения z(i1,i2) между фронтами переключений отдельных входов 1 и /2.

Во время генерации тестов все параметры схемы (например, размер устройства и нагрузки) остаются фиксированными, при этом временные параметры (например, время прибытия, время переключения) могут меняться. Так задержки и время переключения для двухвходового элемента И-НЕ могут быть представлены функциями переменных времени.

На рисунке 2.11 изображены графики входных сигналов 1, /2 и выходного сигнала о, где - задержка, измеренная от фронта входного сигнала 1 до фронта выходного сигнала о ; D[i2 —» о) - задержка, измеренная от фронта входного сигнала 2 до фронта выходного сигнала о , z(i1,i2) - смещение между фронтами переключений отдельных входов 1, /2.

Задержка и смещение измеряются по уровню напряжения питания 0.5-0.5. Из двух задержек учитывается задержка от сигнала, который пришел раньше. Если приход входных сигналов совпадает по уровню 0.5-0.5, то задержки выходного сигнала для них совпадают.

Результаты численных экспериментов изображены на рисунках 2.9, 2.12. На рисунке 2.9 показана зависимость пикового тока от фронта первого входного сигнала и смещения при фиксированном фронте второго входного сигнала, на рисунке 2.12 - зависимость пикового тока от фронтов входных сигналов при нулевом смещении. Рисунок 2.12. Зависимость тока I от фронтов входных сигналов S\ и S2 при нулевом смещении В результате анализа зависимостей тока от фронта и смещений было выявлено, что максимум тока может быть смещен относительно точки z(/1,/2) = 0. При одновременном неконтролирующем переключении пиковый ток практически не увеличивается, а при одновременном контролирующем переключении существенно возрастает. Далее будет рассматриваться случай одновременного контролирующего переключения. Оба входа в данной ситуации являются контролирующими, то есть переключение одного из входов ведет к переключению выхода, даже если другой вход не переключается. В данном случае можно оценить максимальный из двух токов, и следовательно, получить огибающую по току.

Разработка метода суммирования кривых для оценки пикового тока в сложных КМОП схемах

Для точного анализа пикового тока требуется переход от двумерных таблиц характризации к четырех-пятимерным зависимостям для учета фронтов всех переключаемых входов, а также смещений между входами. Эта особенность метода встроенной характеризации пиковых токов приводит к необходимости разработки специальных алгоритмов интерполяции. Если в NLDM модели рассматривается зависимость от двух параметров (входного фронта и выходной емкости) (рис. 3.1а), то в нашем случае даже для двухвходового вентиля необходимо рассматривать значения двух входных фронтов и смещение между ними (рис. 3.1б). Для более сложных вентилей размерность задачи увеличивается. Для решения этой проблемы в диссертационной работе предложен алгоритм трилинейной интерполяции токов потребления в контрольных точках.

Иллюстрация (а) классической билинейной интерполяции, (б) билинейной интерполяции тока по фронту и смещению Алгоритм построения кривых зависимости тока от времени (рис. 3.2) на основе трилинейной интерполяции токов в контрольных точках включает следующие этапы: 1) Загрузка таблицы значений тока в контрольных точках. 2) Ввод значений фронтов и смещения между ними, для которых нужно найти максимальный ток. 3) Определение интервала, в который попадают заданные фронты. Далее возможны четыре случая: - при попадании в узел сетки проводится линейная интерполяция по смещению; - при попадании на одно из ребер сетки проводится билинейная интерполяция по смещению и фронту, не попавшему на ребро; - иначе, проводится линейная интерполяция по смещению, а потом билинейная интерполяция по фронтам; 4) Вывод значений тока во времени в контрольных точках. Рисунок 3.2. Алгоритм трилинейной интерполяции токов в контрольных точках Сравнение результата интерполяции тока в контрольных точках (точечный график) со Spice моделированием (сплошная линия) для двухвходового элемента И-НЕ приведены на рисунке 3.3.

Сравнение результатов интерполяции кривых тока в контрольных точках Imax(interp) для фронтов (S0=0.08 нс, S1=0.16 нс, S2=0.32 нс, S3=0.64 нс) и смещений между ними (Z0= -1.5 нс, Z1= -1 нс, Z2= -0.5 нс, Z3= 0 нс, Z4= 0.5 нс, Z5= 1 нс, Z6= 1.5 нс) со схемотехническим моделированием Imax(Spice) приведены в таблице 3.1.

Проведенные численные эксперименты по интерполяции пикового тока в контрольных точках показали, что предлагаемый подход обеспечивает эффективный расчет пикового тока на уровне логического моделирования с точностью в пределах 3% по сравнению со схемотехническим моделированием. При этом скорость моделирования возрастает в 5-10 раз.

Для анализа последовательно-параллельных структур [58] было проведено исследование схем четырехвходового элемента И-ИЛИ-НЕ и трехвходового элемента И-НЕ. На рисунке 3.4 показано сравнение кривых тока при моделировании четырехвходового элемента И-ИЛИ-НЕ и двухвходового элемента И-НЕ при одновременном переключении всех входов при одинаковой геометрии транзисторов.

Видно, что пиковый ток на И-ИЛИ-НЕ почти вдвое меньше тока на И-НЕ. Это связано с увеличением сопротивления pull-up цепочки вдвое, а также влиянием внутреннего узла в pull-up цепочке И-ИЛИ-НЕ. На графиках (рис. 3.5, 3.6, 3.7) приведено сравнение зависимостей значения максимального тока потребления от величины смещения для случая одновременного переключения и для случаев, когда один из p-транзисторов нижней пары И-ИЛИ-НЕ или оба не переключаются. Максимальный ток потребления схемы в случае одновременного переключения всегда будет больше как минимум на 1.5%.

Зависимости тока от смещения для случая одновременного переключения (сплошная линия) и для случая, когда правый p-транзистор нижней пары И-ИЛИ-НЕ не переключается (точечный график) Очевидно, что значение максимального тока потребления определяется одновременным переключением как минимум двух параллельно соединенных транзисторов р-типа. Одновременное переключение большего числа транзисторов увеличит интеграл тока потребления, но не окажет влияния на значение пикового тока четырехвходового элемента И-ИЛИ-НЕ. Рисунок 3.7. Зависимости тока от смещения для случая одновременного переключения (сплошная линия) и для случая, когда оба p-транзистора нижней пары И-ИЛИ-НЕ не переключаются (точечный график)

На рисунке 3.8 приведено сравнение кривых тока при моделировании трехвходового и двухвходового элемента И-НЕ. Если размеры всех транзисторов одинаковы, то сопротивление трехвходового вентиля уменьшается в 1.5 раза по сравнению с двухвходовым. Соответственно, пиковый ток возрастает примерно в 1.5 раза. Если умножить ток на двухвходовом элементе И-НЕ на 1.5, то ошибка вычисления максимального значения тока будет составлять менее 4.5% (рис. 3.9).

В сложных КМОП схемах (сотни-тысячи вентилей) для оценки максимального тока потребления может использоваться следующая методика суммирования кривых: определяются интервалы временных окон переключений логических вентилей; для каждого интервала значения токов вентилей, которые переключаются в одном временном окне, суммируются (рис. 3.10): Лпах = max max / , Рисунок 3.10. Максимальная огибающая по току на примере вентиля И-НЕ где / - индекс вентиля в сложной КМОП-схеме, j - индекс интервала временных окон, к - индекс входного воздействия или такта. В результате экспериментальных исследований было выбрано приемлемое для большинства практических случаев число временных интервалов j = 100.

Программная реализация разработанных алгоритмов для анализа и минимизации пикового тока комбинационных СФ-блоков

Системы приоритетов значений удобно задавать пятёрками символов следующего вида: аоЬос, где а,Ь,сє {ОД -\ о є { ,=} Нетрудно подсчитать, что всего возможно 10 различных систем приоритетов. Систему а = Ъ = с (приоритеты всех значений равны) обычно называют инвариантной. В построенных по данной системе таблицах строки, соответствующие пересекающимся интервалам, должны иметь совпадающие правые части. Название системы связано с тем, что алгоритмы преобразования СЧБФ (например, поглощения и склеивания интервалов) и реализации элементарных операций с таблицами, разработанные для других систем приоритетов, будут применимы и для инвариантных систем. По этой причине инвариантная система используется, например, для того, чтобы обеспечить возможность выполнения алгоритмов, предназначенных для работы с таблицами, записанными в различных системах приоритетов и избежать при этом преобразовании таблиц из одной системы в другую (ясно, что такое преобразование есть переборная задача). С другой стороны, легко видеть, что таблицы, построенные по инвариантной системе, требуют наибольшего числа задающих интервалов, по сравнению с таблицами, использующими другие системы приоритетов.

Из систем приоритетов типа а = Ъ с и а Ъ с практически исключительно используются 1 = 0 - (так называемая «листопадовская», примененная в системе синтеза ПЛМ «Листопад» [99-100]) и 1 - 0 (так называемая «со слабым нулем»). Последняя система удобна тем, что соответствующие таблицы, как и все таблицы, построенные по системам типа а Ъ с, не требуют проверки на наличие противоречий в определении значений. Недостатком системы со слабым нулем является невозможность быстрого получения инверсных значений функций. Действительно, в этом случае некоторые нули той или иной функции будут подавляться ее неопределенными или единичными значениями и не будут представлены в таблице явно, так же как и интервалы т с нулевыми значениями всех функций (строки 7:0...0). Алгоритм нахождения разложения Гильберта использует две основных операции: определение мажоранты и взятия отрицания от функции. Его реализация для таблично заданных функций имеет свои особенности: если алгоритм определения мажоранты функции элементарен для любой системы приоритетов значений, то инвертирование функции в системе приоритетов а Ъ с может вызвать трудности в силу трудоёмкости явного определения соответствующих задающих интервалов и неоднозначности представления результата. Для получения совокупности нулевых интервалов функции ф = / необходимо найти множество Еп \ { 1п } в явном виде. Это можно сделать, если имеется возможность выполнять операцию вычитания —1 —2 интервалов, то есть явного определения множества ? \ ? . Результат такой операции может быть записан в различной форме. Мы предлагаем записывать его в виде совокупности р интервалов возрастающей размерности г,г + 1,...,г + р —15 где р – мощность множества J =1,п,), а г - размерность интервала &, &&, . Наш опыт показывает, что такое представление обеспечивает, с одной стороны, компактность представления, а с другой стороны, необходимое быстродействие алгоритма. Единичные интервалы функции ср получаются как результат вычитания всех строк вида о-: 1 и о-: - таблицы функции/из строки : 1. 3. Алгоритм синтеза цифровых микросхем на основе полученного разложения Гильберта

Описанный алгоритм нахождения функций Гильберта, преобразованной для СЧБФ был запрограммирован и в качестве одного из методов был включён в состав системы LORD автоматического многоуровневого синтеза комбинационно-логических схем цифровых блоков интегральных микросхем. Система LORD создавалась авторами в НИИ молекулярной электроники МЭП СССР как одна из компонент САПР БИС «Arc/ws» [101]. Алгоритм был реализован в виде отдельного программного модуля, при этом монотонные функции представлялись в простейшем виде «сумма произведений» с некоторой минимизацией представляющих интервалов. В целях исследования свойств алгоритма были проведены численные эксперименты на наборе тестовых схем программного комплекса SIS [102-103]. Было проведено сравнение результатов синтеза комбинационных схем средствами SIS с выходными данными алгоритма Гильберта по занимаемой площади и величине критического пути [104-105].

Автоматический синтез цифровых комбинационных логических схем на основе разложения Э. Гильберта имеет ряд преимуществ. Во-первых, построение схемы из функциональных элементов является первым этапом абстрактного синтеза. Во-вторых, наличие в проектном базисе элементов функции Шеффера и отрицания является обязательным. В-третьих, среди всех функциональных элементов элементы функции Шеффера и отрицания имеют простейшую реализацию в виде микроэлектронной электрической транзисторной схемы. И, наконец, синтез комбинационных КМОП схем на основе разложения Э. Гильберта позволяет уменьшить пиковый ток за счет каскадной структуры итоговой схемы.

При апробировании метода вне реальной практической системы синтеза ИС не проверялись другие способы доопределения ЧБФ, не проводились ни минимизация представления монотонных функций, ни использование так называемого «фазирования» входных и выходных векторов систем (работа с инверсными представлениями некоторых аргументов всех функций и значений некоторых функций).

Ниже приведён пример работы алгоритма автоматического синтеза в произвольной логике схемы цифрового блока по его функциональному (поведенческому) описанию [106]. Монотонные функции Гильберта реализованы в виде сумм логических произведений.