Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения Родионов Илья Анатольевич

Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения
<
Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения
>

Диссертация - 480 руб., доставка 10 минут, круглосуточно, без выходных и праздников

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Родионов Илья Анатольевич. Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения : диссертация ... кандидата технических наук : 05.11.14 / Родионов Илья Анатольевич; [Место защиты: Моск. гос. техн. ун-т им. Н.Э. Баумана].- Москва, 2010.- 165 с.: ил. РГБ ОД, 61 10-5/3039

Содержание к диссертации

Введение

Глава 1. Современное состояние и тенденции развития проекционной литографии при изготовлении КМОП СБИС с размерами элементов, меньшими длины волны экспонирующего излучения 17

1.1. Анализ физических ограничений проекционной оптики при использовании бинарных промежуточных шаблонов 18

1.2. Обзор методов повышения разрешающей способности процесса проекционной литографии 1.2.1. Использование внеосевого освещения при экспонировании резиста 20

1.2.2. Особенности разработки и применения промежуточных шаблонов с фазовым сдвигом 22

1.2.3. Двойное впечатывание: варианты маршрутного технологического процесса, технические и технологические ограничения 26

1.2.4. Применение иммерсионных материалов для повышения разрешающей способности литографического процесса...". 29

1.2.5. Применение методов коррекции оптического эффекта близости 31

1.3. Технические требования к промежуточным шаблонам для изготовления СБИС по проектным нормам 0,25 мкм 33

1.3.1. Обобщенные технические требования к промежуточным шаблонам 33

1.3.2. Анализ требований к операциям контроля промежуточных шаблонов на стадии их изготовления 37 Стр.

1.3.3. Разработка компоновочного решения для комплекта промежуточных шаблонов по критерию минимизации стоимости с

учетом особенностей используемого технологического оборудования 38

1.4. Критерии оценки качества процесса проекционной литографии 40

Глава 2. Сравнительный анализ математических моделей и алгоритмов оптимизации технологических операций процесса проекционной литографии 42

2.1. Особенности и классификация современных литографических САПР 43

2.2. Исследование и сравнительный анализ математических моделей высокоапертурных проекционных систем

2.2.1. Математическая модель Аббе для высокоапертурных проекционных систем 49

2.2.2. Математическая модель Хопкинса для высокоапертурных проекционных систем 52

2.3. Математические модели поглощения света и проявления позитивных резистов 55

2.3.1. Последовательность моделирования экспонирования резиста 55

2.3.2. - Теоретические основы кинетики экспонирования резиста: модель Дилла 56

2.3.3. Математические модели проявления резиста: модели Дилла, Мака, Кима и «Notch» модель 61

2.4. Полуэмпирические математические модели процессов литографии и травления 64

2.4.1. Математическое описание литографических систем с использованием полиномов на примере модели «VT5» компании «Mentor Graphics» 65 Стр.

2.4.2. Критерии достаточности калибровочных экспериментальных данных для обеспечения стабильности и точности моделирования топологического слоя 78

Глава 3. Исследование предельных возможностей проекционной i-line литографии для получения поликремневых затворных структур КМОП СБИС с минимальными размерами 0,25 мкм и менее 80

3.1. Экспериментальное определение параметров модели блока операций литографии 81

3.1.1. Экспериментальное определение параметров экспонирования резиста1Ли а-П23-035 81

3.1.2. Экспериментальное определение параметров проявления pe3HCTaUltra-il23-035 86

3.2. Исследование и оптимизация параметров процесса i-line

литографии при получении поликремневых затворных структур с размерами элементов 0,25 мкм и менее 90

3.2.1. Оптимизация параметров стека по колебательным кривым 90

3.2.2. Исследование влияния параметров внеосевого освещения на тестовые затворные структуры с размерами элементов 0,25 мкм и менее 92

3.2.3. Исследование влияния типа и параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры 96

3.3. Разработка тестового шаблона отработки технологических

режимов и исследования влияния параметров фигур коррекции

оптического эффекта близости на поликремневые затворные структуры

КМОП СБИС с минимальными размерами 0,25 мкм и менее 100

3.3.1. Разработка состава тестовых структур и общей компоновки

тестового ФШ 100 Стр. 3.3.2. Разработка технических требований к фигурам контроля литографического процесса для технологического маршрута 0,25 мкм

с одним уровнем поликремния 101

3.4. Экспериментальное исследование процесса i-line литографии при

получении поликремневых затворных структур с размерами элементов

0,25 мкм и менее 103

3.4.1. Исследование влияния параметров внеосевого освещения на тестовые затворные структуры с размерами элементов 0,25 мкм и менее 103

3.4.2. Исследование влияния типа и параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры 106

Анализ результатов и выводы 109

Глава 4. Разработка и внедрение методов коррекции оптического эффекта близости в процесс проектирования промежуточных шаблонов с размерами элементов менее длины волны экспонирующего излучения проекционной установки 111

4.1. Разработка тестового шаблона, предназначенного для калибровки и верификации моделей литографии и травления критических слоев СБИС 112

4.1.1. Разработка калибровочного и верификационного тестовых блоков 112

4.1.2. Оценка достаточности входных калибровочных данных для обеспечения требуемого уровня точности моделирования и возможности предсказания результатов технологического процесса для рассматриваемой топологии кристалла 116

4.2. Калибровка и верификация «VT5» моделей литографии и травления 119 Стр.

4.2.1. Разработка процедуры определения весовых коэффициентов экспериментальных данных, используемых при разработке моделей литографии и травления 119

4.2.2. Методика и результаты калибровки оптической модели для слоя поликремниевых затворов, выполненного по проектным нормам 0,25 мкм 121

4.2.3. Методика и результаты калибровки модели «VT-5» для слоя поликремниевых затворов, выполненного по проектным нормам 0,25 мкм 1 4.3. Верификация «VT5» моделей литографии и травления по сложным топологическим структурам 138

4.4. Анализ результатов калибровки и верификации моделей 142

4.5. Экспериментальная апробация разработанных методов на примере блока СОЗУ 143

Анализ результатов и выводы 148

Общие выводы 150

Список литературы 1

Введение к работе

Актуальность. Массовое производство современных сверхбольших интегральных микросхем (СБИС), светодиодов высокой яркости, жестких дисков, плоских дисплеев, печатных плат, дифракционных решеток и т.п. стало возможным благодаря применению технологии проекционной микролитографии (МЛ). МЛ является в настоящее время основным технологическим процессом (ТП) в микроэлектронике, который определяет возможность формирования субмикронных топологических элементов, причем конкурирующие виды МЛ с более высокой разрешающей способностью (рентгенолитография, электронно-лучевая литография и т.п.) все еще не обеспечивают возможности массового производства.

Сохраняющиеся тенденции последних 20 лет к уменьшению размеров элементов СБИС требуют решения задач повышения разрешения, ужесточения допусков на размеры и их воспроизводимость, а также точность совмещения топологических слоев.

В настоящее время передовыми производителями СБИС используются литографические установки с длиной волны источников излучения X = 365 нм, 248 нм и 193 нм. Основная особенность современных процессов МЛ заключается в том, что критические линейные размеры (КЛР) получаемых топологических элементов значительно меньше X. В таких ТП при экспонировании наблюдается сильное разрушение топологических элементов. Проблемы создания проекционных систем с X < 193 нм поставили под угрозу тенденцию дальнейшей миниатюризации. Поэтому особое значение приобрели конструкторско-технологические методы, позволяющие достигать лучшего разрешения за счет учета особенностей конкретного технологического оборудования, материалов и режимов обработки.

Состояние проблемы. Большой вклад в изучение процесса МЛ внесли фундаментальные работы У. Моро «Микролитография. Принципы, методы, материалы», Я. Таруи «Основы технологии СБИС» и К.А. Валиева и А.В. Ракова «Физические основы субмикронной литографии в микроэлектронике». Вопросы моделирования МЛ отражены в статьях и научных трудах Р. Дилла (R. Dill), К. Мака (С. Mack), Ю. Граника, Д. Левелина (J. Lewellen) и др.

В настоящее время в РФ созданы предпосылки для быстрого развития микроэлектронной промышленности. В ОАО «Микрон» подходит к завершению пуск производственной линии, которая обеспечит изготовление СБИС с проектными нормами 0,18 мкм. Группой предприятий «Ангстрем» заключен контракт на покупку оборудования с лицензией на выпуск СБИС по технологии 0,13 мкм. ФГУП НИИИС им. Ю.Е. Седакова ведутся работы по пуску и наладке оборудования, которое обеспечит выпуск СБИС по технологии 0,35 мкм.

В НИИ Системных Исследований РАН (НИИСИ РАН) функционирует технологическая линия изготовления СБИС субмикронного уровня (0,5 мкм и 0,35 мкм). В НИИСИ РАН используется проекционная установка «PAS5500/250C» фирмы «ASML» (Голландия) с 1 = 365 нм (степлер), обеспечивающая разрешение до 0,3 мкм. Разработка нового ТП изготовления

СБИС с проектными нормами 0,25 мкм потребовала оптимизации процесса МЛ и внедрения методов повышения разрешения (МПР) процесса МЛ.

Цель работы заключается в повышении степени интеграции и процента выхода годных, а также расширении номенклатуры ТП на имеющемся оборудовании за счет разработки методологии проектирования и изготовления СБИС с размерами элементов меньшими длины волны экспонирующего излучения с применением проекционной литографии.

Решаемые задачи:

  1. Провести анализ современного состояния литографических процессов, применяемых оборудования и материалов, методов повышения разрешающей способности и средств моделирования проекционной литографии.

  2. Проанализировать методики калибровки и откалибровать физическую модель процесса МЛ (на примере слоя затворов) для используемого в НИИСИ РАН степлера и применяемых материалов.

  3. С использованием разработанной модели провести оптимизацию технологических режимов процесса МЛ с целью повышения разрешения и стабильности воспроизведения номинальных размеров затворных структур.

  4. Провести модельные исследования влияния оптического эффекта близости и методов его коррекции (ОРС, optical proximity correction).

  5. Экспериментально подтвердить результаты моделирования на примере фоторезистивной маски (ФРМ) слоя затворов с КЛР < 0,25 мкм.

  6. Разработать комплект фотошаблонов (ФШ) для калибровки и верификации полуэмпирических моделей (для критических слоев СБИС).

  7. Разработать процедуру фильтрации экспериментальных данных, используемых при калибровке полуэмпирических моделей МЛ и травления.

  8. Разработать методику и провести калибровку полуэмпирических моделей для САПР «Calibre», используемых в процедуре коррекции топологии СБИС.

  9. Разработать процедуры коррекции и верификации топологии функциональных блоков тестового кристалла СОЗУ и блоков характеризации ТП с минимальными размерами элементов 0,25 мкм.

10.Разработать методологию проектирования и технологию формирования критических слоев СБИС, выполненных по проектным нормам 0,25 мкм. 11.Экспериментально апробировать разработанные методы.

Методы исследования. Для оптимизации технологических режимов и разработки МПР применено моделирование процесса МЛ. Для оценки качества процесса МЛ и методов МПР использован критерий ширины процессных окон в пространстве «фокус - доза экспозиции». Работа типовых ячеек и функциональных блоков СБИС оценивалась по электрофизическим параметрам. При решении задач использованы теория оптимизации, теория вероятностей и математическая статистика.

Научная новизна работы: 1. Предложена методика калибровки физических моделей МЛ, учитывающая особенности используемого технологического оборудования и отличающаяся методом экспериментального определения ключевых параметров модели резиста в условиях реального производства.

  1. Разработаны рекомендации по повышению точности и стабильности полуэмпирических моделей МЛ и травления за счет изменения формы полинома, оптимизации значений собственного вектора и адаптивной фильтрации калибровочных тестовых структур (ТС) по предложенной формуле расчета значений их весовых коэффициентов (ВК), которая включает параметры пространственного изображения структуры и позволяет учесть возможность ее изготовления в ТП и достоверного измерения.

  2. Разработана и внедрена методология проектирования и изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения.

Достоверность полученных научных результатов, выводов и рекомендаций диссертационной работы подтверждена результатами проведенных экспериментальных исследований и результатами внедрения разработанных методик и режимов работы технологического оборудования в НИР и ОКР, проводимых НИИСИ РАН.

Полученные результаты достоверно демонстрируют эффективность разработанного комплекса методов для решения задач повышения разрешения, расширения процессных окон, повышения стабильности процесса МЛ и воспроизводимости КЛР, как по пластине, так и в партии.

Основные положения, выносимые на защиту:

  1. Предложенная методика установления параметров физических моделей позволяет установить значения ключевых параметров моделей на основании анализа колебательных кривых дозы полного вскрытия и зависимостей скоростей проявления резиста в условиях серийного полупроводникового производства. Малое количество требуемых для этого экспериментов и корректность методического аппарата позволяют проводить калибровку физических моделей МЛ в короткие сроки с ошибкой менее ±5,5% от КЛР.

  2. Предложенная методика калибровки полуэмпирических моделей процессов МЛ и травления позволяет снизить уровень проникновения шумов ТП и измерений в процесс калибровки моделей, значительно сократить временные затраты на расчет В К ТС по предложенной автором формуле, которая включает параметры пространственного изображения ТС и критерии их экспертной оценки, а также не требует от разработчика моделей наличия знаний в непрофильных для него областях.

  3. Внедрение разработанных МПР обеспечивает требуемую стабильность ТП (расширение процессного окна), улучшение переноса топологии сложных элементов и, как следствие, повышение процента выхода годных СБИС.

Практическая значимость и результаты внедрения. Разработанные в диссертации комплекс методов, алгоритмы и модели, реализующие МПР, внедрены в НИИСИ РАН. Это позволило перейти к меньшим проектным нормам при требуемой стабильности ТП (повысить глубину резкости (ГР) до 0,6-0,8 мкм при диапазоне дозы экспонирования ~ 8-10%), повысить быстродействие за счет уменьшения размеров (на 30%), улучшить массогабаритные параметры за счет повышения степени интеграции (на 40%). Универсальность разработанных методик и используемых моделей позволяет применять их на других предприятиях аналогичного профиля.

Полученные математические модели, методики и комплекс модельных исследований ТП внедрены в учебный процесс МГТУ им. Н.Э. Баумана.

Основной практической ценностью работы является снижение стоимости СБИС за счет увеличения процента выхода годных, вследствие повышения стабильности ТП литографии и воспроизводимости размеров элементов.

Апробация работы. Результаты работы докладывались на VIII и ІХ-Й молодежных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2006, 2007), X и XI-й молодежных международных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2008, 2009), опубликованы в журналах «Технология и конструирование в электронной аппаратуре» (Одесса, 2007, №3 и №4), «Вестник МГТУ» (Москва, 2010, спец. выпуск: Наноинженерия), «Микроэлектроника» (Москва, 2010, №5) и сборнике научных трудов «Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты» (Москва, 2009).

Работа отмечена дипломами 1 степени молодежных научно-технических конференций «Наукоемкие технологии и интеллектуальные системы», (Москва, 2007 и 2008), стипендиями Правительства Москвы и Клуба Императорского Технического Училища.

Публикации. По материалам и основному содержанию работы опубликованы 13 научных работ в научно-технических журналах и трудах конференций, из них 2 научные работы опубликованы в рецензируемых изданиях, рекомендованных ВАК.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, общих выводов и списка литературы. Материалы диссертации изложены на 165 страницах, включая 146 страниц машинописного текста, 89 рисунков, список литературы из 93 наименований.

Использование внеосевого освещения при экспонировании резиста

При использовании методов экстенсивной литографии необходимо учитывать влияние MEEF, который для величин К 1-0,3-0,5 может достигать значений 2,5-3. Такие значения MEEF оказывают влияние, как на допуска топологических размеров, так и на величины допустимых дефектов ФШ.

Таким образом, допуск на минимальный размер элементов ФШ должен составлять величину 0,3»М-8, где М - масштаб переноса, 5 - допуск на величину минимального топологического элемента на пластине; при экстенсивной литографии этот допуск должен быть уменьшен еще в 2,5-3 раза.

Такое «неравное» деление между ФШ и литографией обусловлено тем, что производство ФШ является единичным и практически эталонным, подверженным меньшему числу случайных технологических факторов. В то время как ФШ эксплуатируется в ТП длительное время с множеством изменяемых технологических факторов (изменение номиналов толщины и допусков на технологические слои: фоторезист, слои диэлектриков и т.д.; вариации параметров степлера; температурные и другие вариации).

Параметр 6 определяет с учетом весового коэффициента (0,3) и величины масштаба требования к ФШ по точности совмещения, а также накладывает требования на коэффициент температурного расширения (КТР) стекла, из которого изготовлена подложка ФШ.

Большинство степперов имеют эффективную защиту от ИК составляющей осветительной системы, что обеспечивает невысокие значения «тепловой нагрузки» на ФШ в процессе экспонирования. Следует учитывать, что при значительных величинах КТР и «тепловых нагрузках» могут наблюдаться различные величины «теплового» рассовмещения, как в процессе эксплуатации одного ФШ, так и при переходе с одного ФШ на другой из-за различной «плотности» топологического рисунка.

В любом случае величины КТР стекла подложки не должны превышать значений 10" 1/К. Наилучшим вариантом является использование кварцевых подложек, которые по значению КТР (7 10"7 1/К) и спектральным характеристикам удовлетворяют всем требованиям.

Обеспечение требований по точности совмещения и допускам на размер элемента также накладывает ограничения на величины неплоскостности подложки ФШ и прогиб ее в узле крепления в степпере. Это требование может быть оценено с учетом телецентричности хода лучей в оптической системе, значения апертуры и функциональной зависимости ухода размеров от значения дефокусировки (определяется экспериментально или при помощи моделирования). При расчетах также во внимание должен быть приняты используемые методы повышения разрешающей способности процесса литографии.

При переходе на проектные нормы 0,25 мкм особое внимание должно быть уделено проведению операций контроля и их техническому обеспечению.

Контроль соответствия топологии, совмещенной с контролем локальной дефектности. При уровне технологии 0,25 мкм с использованием методов экстенсивной литографии следует учитывать влияние фактора MEEF, а также специфику краевых и прилегающих дефектов при использовании технологии PSM. В общем случае уровень контролируемых дефектов ФШ должен быть доведен до характеристических размеров 0,5 мкм, а достоверность контроля должна превышать 98%. Такой контроль в ручном режиме совершенно невозможен. На основании выше изложенного следует сделать вывод, что организация контроля ФШ уровня 0,25 мкм на дефектность и соответствие топологии возможна только при использовании автоматизированного метода контроля.

Контроль размеров

При переходе к ФШ уровня 0,25 мкм, особенно при использовании экстенсивной литографии, необходимо применение новых методов и оборудования для контроля размеров критичных элементов.

Как было показано выше, требования по воспроизводимости размеров элементов на ФШ в пределах рабочего поля достигают значений ±0,025 мкм, аналогичны требования на точность выполнения размеров. Применение ОРС и PSM требуют контроля размеров ФШ уже субмикронного уровня («serif» и «SRAF»), что также существенным образом повышает требования к операциям контроля. Естественно, что такие требования при одновременном расширении объема контроля могут быть выполнены только с применением нового класса автоматизированного оборудования.

Примером такого типа оборудования может служить Leica LWM250DUV. Установка обеспечивает контроль элементов ФШ в темном и светлом полях с размером в диапазоне 0,2+7,0 мкм с воспроизводимостью не хуже ±4нм (За). Типовое время контроля размера в автоматическом режиме не более 15 сек, точность механического перемещения стола с электронной коррекцией не хуже 4 мкм. Что позволяет реализовать автоматизированный контроль ФШ по размерам в полном объеме требований до уровня технологии СБИС ОД мкм.

Анализ требований к операциям контроля промежуточных шаблонов на стадии их изготовления

Модель VTRE отличается от предыдущих наличием параметра — вторая производная воздушного изображения [30]. Этот параметр существенно повышает точность модели для критических 2D тестовых структур (окончаний линий и углов). Модель VTRE была расширена до VT-5. В дополнение к параметрам модели VTRE в модель VT-5 включены оставшиеся «граничные» параметры из выражения (17): Т = T(Imm,Imax,Is,Smax,C) 23) Выражение (21) задает описание модели VT-5 (23). Дополнительные возможности по описанию ТП моделями VT-5 и VTRE появились за счет введения корреляции между «Imax» и плотностью топологии тестового образца, которая является ключевым параметром при описании эффектов микро загрузки при экспонировании, проявлении резиста и травлении. Использование градиента интенсивности изображения также позволяет объяснить (описать) изменение критического размера вне динамики проявления резиста, как это показано в статьях [54], [55], [61] и [62].

VT-модели предполагают полную зависимость получаемого на пластине контура от параметров воздушного изображения. Имея дело с существенными эффектами рассеяния, например эффектами микрозагрузки резиста, диффузией светочувствительного компаунда при ПЭТ, эффектами микрозагрузки при травлении и т.п., VT-модели могут быть эффективно дополнены моделями травления (далее VB, Variable Bias), как показано [54], [67]. В этом случае результирующая ошибка расположения границы структуры (далее ЕРЕ, edge placement error) делится на 2 составляющие: EPE(Total) = EPE(VT) + EPE(VB) В случае большого значения радиуса взаимодействия (interaction radius), VB модели имеют тенденцию к разрушению иерархии проекта. Именно этот факт, а не вопросы точности иногда ограничивают их применение для коммерческого ОРС использования.

В VT-5 причина смещения границы изображения при литографии разбивается на пороговую обработку, имитирующую образование скрытого изображения, проявление и т.п., и уход границы, вызванный систематическим уходом размеров при производстве шаблонов, уходы при травлении и т.п. Локальные порог чувствительности и уход являются функциями от параметров воздушного изображения и так называемых плотностей:

Порог = полино.щ (параметры _ воздушного _ изображения, плотности) Уход = полином2(параметры воздушного_изображения,плотности)

Коэффициенты полинома рассчитываются по экспериментальным данным (измерениям CD структур тестовой площадки). Плотности определяются, как свертка (конволюция) с промежуточным базовым слоем, который, по сути, представляет скрытое изображение в резисте. Благодаря этому достигается разделение оптических и резистивных эффектов. Также есть возможность проведения свертки с видимым или целевым топологическим слоем. Поддерживаются плотности двух типов, тип 2 заменяет разделение с интегралами, позволяющими учесть эффекты травления. Понятие «плотность» широко используется при компактном моделировании. Значение плотности «а» в VT-5 определяется выражением: а = КМ, где К — ядро свертки (или кернфункция) и М - топологический слой являются двумерными функциями. В САПР Calibre есть возможность задать параметры ядра свертки: форму ядра, размер, является ли оно действительным/мнимым, зависимым/независимым от М и т.д. А также могут быть использованы различные модификации топологии М. Самым простейшим вариантом является использование всех или некоторых масочных слоев, представленных в виде комбинации ступенчатых функций.

В модели «черного ящика» [63] форма и количество ядер свертки подбирается по измерениям CD ТС. Экспоненциальная сверка с функциями Гаусса и двойной функцией Гаусса обычно используются для моделирования уходов на шаблоне и при травлении [55]. Модель диффузии воздушного изображения, т.е. абсорбции света резистом, содержит дополнительный оператор свертки воздушного изображения с функцией Гаусса. Модель переменной диффузионной длины представляет форму ядра свертки в виде функции зазора или шага между структурами, т.е. допускает, что К зависит от М. Для моделирования резистивных эффектов в VT-5 используется базовый слой, который представляет скрытое изображение в резисте, рассчитанное при эталонном пороге чувствительности. Плотности в VT-5 рассчитываются для топологии М в виде базового слоя.

Математические модели проявления резиста: модели Дилла, Мака, Кима и «Notch» модель

Посредством моделирования определены диапазоны вариации параметров фигур ОРС типа «SRAF»: количество доп. структур = 4, размер = 100 нм, зазор до основной структуры = 100-500 нм, зазор между фигурами «SRAF» = 250 нм. Результаты моделирования подтвердили необходимость оптимизации параметров внеосевого освещения при использовании фигур ОРС типа «SRAF», т.к. их введение изменяет дифракционную картину, в результате чего положение оптимума параметров анулярного освещения смещается.

Пересечения процессных окон построены для следующих допусков: CD±10%, уход резиста 30%, наклон боковой стенки резиста 65. Моделирование проводилось на слое поликремния с нанесенными антиотражающим покрытием толщиной 0,16 мкм и резиста Ultra-i 123-0,3 5 толщиной 0,35 мкм. Процессные окна построены для групповой структуры и одиночной структуры с фигурами ОРС типа «SRAF» (рис. 3.19 и 3.20).

Пересечение процессных окон одиночных и групповых ТС (сш= 0,35, о0цт= 0,7) Найденные параметры анулярного освещения (рис. 3.19) позволили получить большую область пересечения процессных окон, чем при использовании стандартных параметров (рис. 3.20).

Разработка тестового шаблона отработки технологических режимов и исследования влияния параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры КМОП СБИС с минимальными размерами 0,25 мкм и менее

Для экспериментального подтверждения найденных по результатам моделирования режимов экспонирования и травления поликремневых затворных структур с размерами элементов 0,25 мкм и менее был разработан тестовый шаблон.

Экспериментальный тестовый шаблон спроектирован с целью: - экспериментального определения оптимального шага групповых структур; - подбора оптимального соотношения шина/зазор; - оптимизации режимов работы оптического тракта проекционной установки ASML PAS5500/250C для одиночных и групповых структур; - оценки влияния плотности заполнения [83-86] технологического слоя поликремния (слой POLY) на процессы химико-механической планаризации и плазменного травления при получении поликремневых затворных структур; - качественной и количественной оценки использования фигур ОРС типа «bias», «serif» и «anti-serif», «SRAF»; - оптимизации параметров фигур ОРС.

В рабочем поле тестового шаблона были размещены 4 типовых слоя (Ml, М2, МЗ и М4) размером 9,7x9,7 мм каждый и общим 20x20 мм. Каждый типовой слой тестового шаблона содержит следующие типы структур: 1) Вспомогательные (служебные) структуры. К ним можно отнести различные обозначения, выделительные рамки и указатели сколов. Для проведения измерений на сколе, которые дают точные размеры с параметрами профиля фоторезиста, необходимо расколоть кремневую пластину в требуемом месте. Скол выполняется вручную. Для точного позиционирования скола на шаблоне были предусмотрены указательные стрелки. 2) Экспериментальные структуры. Логически разделены на два блока: структуры типа «А» и структуры, ограниченные выделительной рамкой, и предназначенные для определения оптимальных параметров фигур ОРС. 3) Dummy-структуры. Элементы шаблона, которые обеспечивают заданную плотность заполнения слоев шаблона.

Между собой слои тестового шаблона отличаются шагом групповых структур: Ml - 0,5 мкм, М2 - 0,48 мкм, МЗ - 0,46 мкм и М4 - 0,44 мкм. В каждом слое тестового шаблона представлен набор элементов, выполненных с соответствующим для него шагом, обеспечивающих подбор корректирующих элементов всех описанных выше типов. Слои разделены между собой темнопольными границами шириной 0,6 мкм (в масштабе пластины), позволяющими-производить запечатку-пластины-любым из 4-х слоев.

Проектирование-.топологии тестового шаблона велось в сетке 0,001 мкм. Топология слоев тестового кристалла содержит в области скрайберных дорожек: - фигуры контроля мультипликации и «привязки» размером 100x100 мкм, расположенные в четырех углах дорожки скрайбирования каждого слоя тестового шаблона, которые необходимы для автоматизированного контроля совмещения и разделении пластины на кристаллы (MLT_SN); - фигуры КЛР (0,18/0,18 мкм) и идентификатор проекта.

Технические требования разработаны для фигур контроля процесса литографии, находящихся в области разделения пластины на кристаллы, для ТП производства КМОП СБИС на с размерами элементов 0.25 мкм.

Параметры фигур контроля фотолитографии Наименованиемасочного слоя Обозначение слоев Фигура контроля толщины слоев Фигура контроля мультипликации Дорожка скрайби-рования Тональность с Me 1,2 над Si Тональность элемента с Si над Si Тональностьэлемента безMe и Si надSi02 Тональ-ностьэлементовв слое Тональностьдорожки в слое POLY PL - - - Т С Примечания к таблице 9. 1. Фигуры контроля мультипликации и «привязки» при автоматизированном контроле совмещения и разделении пластины на кристаллы размером 100x100 мкм расположены в четырех углах дорожки. Таблица 10. . Параметры фигур контроля линейного размера (CD) на РМ и шаблоне Наименование масочного слоя Тональностьэлементов в слое Фигура контроля линейного размера (CD) на пластине Фигура контроля линейного размера (CD) на шаблоне CDтемногоэлемента,мкм CDсветлогоэлемента,мкм Допуск,+/- мкм Тональность контролируемого элемента Размер контролируемого элемента, мкм Допуск, +/- мкм POLY Т 0,24 0,36 ±0,03 Т 1,2 ±0,05 Таблица 11. Параметры фигур контроля разрешения литографии (RSL) Наименование масочного слоя Фигура контроля разрешения линейных элементов (RSL) Тональность элементов в слое Размерный ряд элементов контролируемой части фигуры, мкм POLY Т 0.18; 0.2; 0.22; 0.24; 0.26; 0.28; 0.3; 0.35; 0.50 Минимальный CD на шаблоне - 1,2 мкм, допуск на минимальный CD на шаблоне - 0,05 мкм. Сетка экспонирования при изготовлении шаблонов должна быть задана не более чем 0,02 мкм.

Оценка достаточности входных калибровочных данных для обеспечения требуемого уровня точности моделирования и возможности предсказания результатов технологического процесса для рассматриваемой топологии кристалла

Качество воздушного изображения определяет количество и полноту информации, переданной резисту, и как результат качество и стабильность окончательного профиля резиста. Поэтому показатель качества воздушного изображения ТС может быть использован для расчета ее весового коэффициента (далее ВК). Однако оценка качества воздушного изображения является нетривиальной задачей, которая давно исследовалась для различных применений, в частности фотографии. Классическая мера оценки качества изображения — контраст. Для шаблона с равными по ширине линиями и зазорами,, контраст .изображения определяется по следующей формуле:

Чтобы получить четкий светло/темный образ в резисте, идеальном случае Imjn должна быть намного меньше, чем Imax, тогда контраст изображения стремится к 1,0, что характерно для качественного изображения.

Однако этот параметр качества изображения оказывается некорректным применительно к литографским изображениям. Во-первых, контраст определяется только для равных линий и зазоров. Во-вторых, данное определение контраста изображения применимо только для ТС, размер которых приближается к пределу разрешения проекционной системы, а для больших ТС контраст изображения всегда близок к 1,0. И, в-третьих, контраст изображения непосредственно не связан с параметрами качества литографии, такими, как контроль ширины линии резиста, неровность края линии и т.п.

По существу, оценка качества изображения по контрасту является примером ненадлежащего применения воздушного изображения, т.к. центры зазора и линии не являются самыми важными областями. Форма изображения около номинального края линии является самой важной.

Градиент интенсивности, как функция положения (dl/dx), определяет крутизну изображения при переходе от светлой области изображения к темной. Однако его необходимо корректно нормализовать, чтобы использовать для оценки качества процесса литографии. Корректная нормализация может быть достигнута делением градиента интенсивности на интенсивность. Подводя «1/1» под знак дифференциала, получим градиент логарифма интенсивности: SN=l/I dI/dx = dln(I)/dx Наиболее информативным данный параметр будет при измерении его в области проектируемой границы резиста. Изменение положения границы резиста обычно выражают как процент от CD, координата «х» также может быть нормализована, умножением градиента логарифма интенсивности на номинальную ширину линии «w». Так вводится нормализованный показатель градиента интенсивности (далее NILS, Normalized Intensity Log-Slope): NTLS = w dln(I)/-dx Так как NILS позволяет оценить качество воздушного изображения, он был использован, как один из параметров при расчете весовых коэффициентов ТС. Расчет весовых коэффициентов и результаты экспериментов

Значения ВК были рассчитаны тремя различными способами. Первый набор ВК был определен на основании опыта процессных технологов и специалистов группы моделирования. При определении ВК были учтены характерные зависимости воспроизведения ТС в рассматриваемом ТП, связанные с особенностями работы технологического и измерительного оборудования, и материалами (модели присвоено .обозначение «analyze»).

Для повышения точности модели за счет снижения уровня проникновения шумов ТП и измерений при калибровке, была предложена формула расчета ВК [88], по которой был рассчитан второй набор ВК (обозначение «formula»): W = (NILS/CD) KM KS, где Км - коэффициент, учитывающий CD ТС и возможности ее достоверного измерения, Ks - коэффициент, учитывающий результаты статистической обработки результатов измерений ТС. Значения NILS для каждой ТС были рассчитаны с помощью САПР «ProLith» компании «KLAencor» (США). Для определения значений коэффициентов Км и Ks были предложены классификации ТС с точки зрения геометрической формы и оптического окружения и возможности их качественного измерения (таблицы 12 и 13).

Таблица Тип и тональность ТС KM CD = CDmin CD CDmin ID line 5 3,5 ID space 3,7 1,5 2D line 0,25 0,2 2D space 0,15 0,1 2D worth meas line 0,2 0,12 2D_worth_meas_space 0,08 0,03 Приняты следующие обозначения: ID и 2D - одномерные / двумерные ТС, «line» и «space» - темная / светлая тональность ТС, «worth_meas» - ТС, которые неустойчиво воспроизводятся и измеряются. Таблица 13 Результат анализа функциональной зависимости параметра Ks Измеренное - не согласуется, промоделированное - не согласуется 1,2 Измеренное и промоделированное значения параметра согласуются 1 с теоретической зависимостью Измеренное - не согласуется, промоделированное - согласуется [ 0,8 В третьем наборе ВК одномерным ТС были присвоены одинаково высокие ВК равные 60, а двумерным ТС -низкие равные 1 (обозначение «IDonly»).

При использовании SOCS приближения, помимо определения параметров оптической системы, необходимо найти оптимальное количество кернфункций, с помощью которых описывается оптическая система. При большом количестве кернфункций, повышается точность расчетов, но падает быстродействие всей модели. На рисунке 4.8 приведен пример сравнения воздушных изображений, рассчитанных при использовании полной системы уравнений Хопкинса (красным) и SOCS приближения с 5 и 17 кернфункциями соответственно. На графиках слева можно отметить существенное различие в результатах моделирования, что свидетельствует о недостаточном количестве кернфункций. На графиках справа воздушные изображения практически идентичные. Дальнейшее увеличение количества кернфункций не приводит к существенному улучшению результатов, но снижает быстродействие модели.

Сравнение результатов моделирования проекционной системы Одним из важнейших параметров оптической модели является оптический диаметр (далее OD, optical diameter), физическим смыслом которого является расстояние между соседними ТС, когда они еще оказывают влияние друга на друга. Значение OD можно оценить по зависимости CD от шага групповых структур (рис. 4.9, приведена зависимость для CD = 0,24 мкм). На рисунке точками показаны экспериментальные данные. Хорошо видно, что, начиная с определенного значения шага, размер шины становится практически постоянным. Судя по приведенному графику, значение OD =1,8 мкм, однако на основании проведенных исследований значение OD было снижено до 1,28 мкм. Модельными экспериментами подтверждено, что большие значения OD приводят к снижению быстродействия и нестабильности получаемых моделей.

Похожие диссертации на Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения