Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Проектирование функциональных блоков программируемой логической интегральной схемы, конфигурируемых с использованием метода сканирования пути Давыдов, Сергей Игоревич

Диссертация, - 480 руб., доставка 1-3 часа, с 10-19 (Московское время), кроме воскресенья

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Давыдов, Сергей Игоревич. Проектирование функциональных блоков программируемой логической интегральной схемы, конфигурируемых с использованием метода сканирования пути : диссертация ... кандидата технических наук : 05.27.01 / Давыдов Сергей Игоревич; [Место защиты: Воронеж. гос. техн. ун-т].- Воронеж, 2013.- 122 с.: ил. РГБ ОД, 61 14-5/715

Введение к работе

Актуальность темы. В настоящее время на зарубежном рынке
больших интегральных схем (БИС) представлено более 20 фирм,
разрабатывающих БИС программируемой логики (Altera, Xilinx,
Actel, Atmel, Achronix, Tabula и др), которые ежегодно представляют
более 10 новых типов программируемых логических интегральных
схем (ПЛИС), отражающих современные тенденции проектирования
- использование концепции системы на кристалле (SystemonChip,
SoC), содержащей микропроцессорное ядро, специальные
вычислители, сложные интерфейсные контроллеры,

специализированные аппаратные блоки цифровой обработки сигналов и др. Например, ПЛИС серии StratixV фирмы Altera могут содержать кроме массива программируемой логики несколько аппаратных процессорных ядер Cortex и специализированные аппаратные блоки для цифровой обработки сигналов, такие как встроенные перемножители с переменной точностью вычислений.

Крупные производители ПЛИС активно продвигают свои передовые ПЛИС емкостью более 3 млн эквивалентных логических вентилей, выполненные по технологии 28 нм, обладающие большим количеством эквивалентных логических элементов и имеющие в своём составе микропроцессорные ядра и различные аппаратные блоки цифровой обработки сигналов.

На отечественном рынке представлены ПЛИС фирмы ОАО "КТЦ-Электроника" серии 5576 типа 5576ХС1Т, 5576ХС1Т1, 5576ХС2Т, 5576ХСЗТ, 5576ХС4Т с логической емкостью 50-250 тыс. эквивалентных логических вентилей, изготовленные по 180нм проектным нормам КМОП - техпроцесса, близкие посвоим техническим характеристикам к ПЛИС серии FLEX компании Altera.

Поэтому актуальными являются проработка и поиск новых
видов архитектур и новых методовкоммутации

программируемыхмежсоединений трассировочных ресурсов, а также новых методов проектирования ПЛИС. Ускорить процесс разработки можно осуществляя проектирование на различных уровнях: системном, функциональном, схемотехническом и топологическом (физическом). Процесс проектирования ПЛИС в отличие от заказных БИС осложняется рядом причин, одна из них - это отсутствие специализированных САПР, учитывающих технологические и архитектурные особенности ПЛИС. На практике проектирование осуществляется с помощью САПР БИС Cadence.

Работа выполнена в соответствии с планом комплексных
исследований, проводимых на кафедре «Полупроводниковая
электроника и наноэлектроника» ФГБОУ ВПО «Воронежский
государственный технический университет» по теме НИР ГБ 2010.34
«Физические основы технологии и проектирование

полупроводниковых изделий микроэлектроники» (№ гос. per. 012010052625).

Цель работы - применение современных подходов в проектировании к разработке новых видов ПЛИС: разработка модели ПЛИС на системном уровне с генерацией кода языка VHDL для его последующего использования на функциональном уровне в САПР roiHCQuartusII; применение метода «стандартных ячеек» для схемотехнического и топологического уровней проектирования в САПР БИС Tanner. Для достижения поставленной цели в диссертации решались следующие задачи:

  1. Провести сравнительный анализ технологии соединения с патентованными названиями multi-driver, single-driver, DirectDrive в трассировочных ресурсах современных зарубежных ПЛИС.

  2. Разработать визуально-имитационную модель коммутации трассировочных ресурсов ПЛИС в системе Matlab/Simulink. Определить оптимальную длину сегментации межсоединений в трассировочных ресурсах. В пакете HDL Coder Matlab/Simulink сгенерировать код высокоуровневого языка описания аппаратных средств (VHDL) для последующего использования при разработке функциональной модели.

  3. Разработать функциональную модель ПЛИС в САПР Quartus II с одноуровневой структурой соединений с использованием двунаправленных межсоединений в горизонтальном и вертикальном направлениях, сегментируемых электронными ключами, находящимися в маршрутизаторах. Разработать функциональную модель с использованием пар разнонаправленных межсоединений в трассировочных ресурсах и мультиплексорных структур.

  4. Разработать схему загрузки конфигурационной информации в ПЛИС типа 111 IBM на основе метода сканирования пути (JTAG-интерфейс по стандарту IEEE Std 1149.1-2001).

  5. Разработать топологию кристалла ПЛИС в САПР БИС Таппегна основе метода стандартных ячеек по масштабируемой КМОП-технологии с использованием новых схемотехнических решений в последовательностной логике (D-триггеры с динамическими ключами-инверторами).

Научная новизна результатов исследований. В диссертации получены следующие основные результаты, характеризующиеся научной новизной:

  1. На системном уровне независимо от САПР, применяемых на последующих уровнях проектирования ПЛИС, и технологического базиса изготовления разработана визуально-имитационная модель коммутации разнонаправленных пар межсоединений в трассировочных каналах и длиной сегментации в два логических блока.

  2. С помощью САПР БИС Tanner по визуально-имитационной и функциональной моделям с применением метода стандартных ячеек разработана топология кристалла ПЛИС по масштабируемой КМОП технологии с использованием новых схемотехнических решений в последовательностной логике.

  3. Разработана новая схема конфигурирования ПЛИС типа 111 IBM на основе метода сканирования пути, заключающаяся в подключении ячеек памяти программируемых мультиплексорных структур к регистру периферийного сканирования и модификации управляющего контроллера, позволяющая в отличие от традиционных решений на базе последовательно-параллельной схемы загрузки проводить реконфигурирование ПЛИС из встроенной блочной памяти.

Практическая значимость работы

  1. Продемонстрирована возможность использования системы имитационно-визуального проектирования Matlab/Simulink с пакетом HDL Coder для разработки архитектуры ПЛИС с одноуровневой структурой межсоединений на системном уровне. Полученный код HDL позволяет переносить проект с одного технологического базиса на другой.

  2. Разработанная схема конфигурирования ПЛИС на основе JTAG-интерфейса дает возможность загрузки копии прошивки ПЛИС из регистра захвата в случае сбоев при конфигурировании в условиях воздействия дестабилизирующих факторов. Вследствие использования в качестве ячейки памяти, управляемой электронным ключом, триггера, тактируемого фронтом синхросигнала, а не шеститранзисторной ячейки (статической памяти SRAM) на базе однотактного триггера с однофазным тактированием уровнем синхросигнала, значительно повышается помехоустойчивость схемы конфигурирования ПЛИС.

З. Разработанная топология кристалла ПЛИС в САПР Tanner с использованием метода стандартных ячеек по масштабируемой КМОП-технологии позволяет разрабатывать несложные ПЛИС для замены ИС средней степени интеграции.

Основные положения, выносимые на защиту:

  1. Визуально-имитационная дискретная модель ПЛИСтипа 111 IBM с использованием fi-объектов и языка М-файлов системы Matlab/Simulink.

  2. Организация трассировочных ресурсов ПЛИС типа 111 IBM с использованием мультиплексорных структур в соединительных блоках и маршрутизаторах с длиной сегментации разнонаправленных пар межсоединений в два логических блока.

  3. Функциональная модель ПЛИС типа 111 IBM в САПР Quartus II с использованием мультиплексорных структур в трассировочных ресурсах, построенная по коду языка VHDL, извлеченного из описания визуально-имитационной модели в системе Matlab/Simulink.

  4. Схема загрузки конфигурационной информации в ПЛИС типа 111 IBM на основе метода сканирования пути.

  5. Электрическая схема ПЛИС, построенная по имитационным и функциональным моделям с использованием метода стандартных ячеек и динамических ключей-инверторов в D-триггерах, тактируемых уровнем синхросигнала с последующим топологическим проектированием по масштабируемой КМОП-технологии в САПР Tanner.

Апробация работы. Основные результаты диссертации докладывались и обсуждались на следующих конференциях и семинарах: международной научно-практической конференции "Фундаментальная наука и технологии - перспективные разработки" (Москва, 2013), X международной научно-практической конференции «Научная дискуссия: вопросы технических наук» (Москва, 2013), V традиционном всероссийском семинаре «Элементная база силовой электроники, СБИС и ПЛИС специального назначения» (Воронеж, 2013).

Публикации. По результатам исследований, представленных в диссертации, опубликовано 12 научных работ, в том числе 6 - в изданиях, рекомендованных ВАК РФ. В работах, опубликованных в соавторстве и приведенных в конце автореферата, автору принадлежат: [1] - разработка модели микропроцессорного ядра в системе Matlab/Simulink; [2,7] - разработка микропроцессорного ядра

на функциональном уровне для реализации в ПЛИС серии Stratix фирмы Altera, исследования трассировочных способностей серии Stratix при размещении микропроцессорного ядра в базисе ПЛИС; [3,8] - исследования современных архитектур ПЛИС с одноуровневой структурой межсоединений; [5,6] - проектирование электронных ключей программируемых коммутаторов ПЛИС и исследование особенности программируемой коммутации межсоединений ПЛИС; [4,9,10] - разработка логического блока и коммутаторов для модели ПЛИС типа 111 IBM на языке VHDL; [11]-разработка системы коммутации для модели ПЛИС в системе Matlab/Simulunk; [12] - разработка схемы конфигурирования ПЛИС типа 111 IBM на основе JTAG-интерфейса и ТАР-контроллера на языке VHDL в САПР Quartus П. Материалы научных исследований использованы в учебном пособии [19].

Структура и объем работы. Диссертация состоит из введения, четырёх глав, заключения и списка литературы, состоящего из 94 наименований. Основная часть работы изложена на 111 страницах, содержит 101 рисунок и 8 таблиц.

Похожие диссертации на Проектирование функциональных блоков программируемой логической интегральной схемы, конфигурируемых с использованием метода сканирования пути